造价通
更新时间:2024.04.15
8.19电梯控制器程序设计与仿真 (2)

格式:pdf

大小:659KB

页数: 9页

电梯控制器 VHDL程序与仿真。 -- --文件名: dianti.vhd。 --功能: 6 层楼的电梯控制系统。 --最后修改日期: 2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为 2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic

8.19电梯控制器程序设计与仿真

格式:pdf

大小:659KB

页数: 9页

电梯控制器 VHDL程序与仿真。 -- --文件名: dianti.vhd。 --功能: 6 层楼的电梯控制系统。 --最后修改日期: 2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为 2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic

最新知识

电气问题8.19-2
电气问题8.19-2相关专题

分类检索: