EDA技术及应用:VHDL版基本信息

中文名称 EDA技术及应用 作者 谭会生
出版社  西安电子科技大学出版社 出版时间  2011年3月1日

《EDA技术及应用:VHDL版(第3版)》内容分为五个部分,前四部分为正文,共七章,第五部分为附录。第一部分概括地阐述了EDA技术及应用的有关问题(第1章);第二部分比较全面地介绍了EDA技术的主要内容,包括EDA的物质基础--Lattice、Altera和Xilinx公司主流大规模可编程逻辑器件FPGA/CPL.D的品种规格、性能参数、组成结构及原理(第2章),EDA的主流表达方式--VHDL,的编程基础(第3章),EDA的设计开发软件--QutrtusII8.0、ISESuite10.1、ispl..EVER8.1、Synpli母PRO7.6、ModelSimSE6.0等五个常用EDA工具软件的安装与使用(第4章),EDA的实验开发系统--通用EDA实验开发系统的基本组成、工作原理、性能指标及GW48型EDA实验开发系统的结构及使用方法(第5章);第三部分提供了12个综合性的EDA应用设计实例(第6章),包括数字信号处理、智能控制、神经网络中经常用到的高速PID控制器、FIR滤波器、CORDIC算法的应用等实例;第四部分是EDA技术实验(第7章);第五部分是附录,包括常用FPGA/CPID管脚图、利用WWW进行EDA资源的检索等内容。

《EDA技术及应用:VHDL版(第3版)》可供高等院校电子工程、通信工程、自动化、计算机应用、仪器仪表等信息工程类及相近专业的本科生或研究生使用,也可作为相关人员的自学参考书。

《EDA技术及应用:VHDL版(第3版)》配有电子教案,有需要者可登录出版社网站下载。

EDA技术及应用:VHDL版造价信息

市场价 信息价 询价
材料名称 规格/型号 市场价
(除税)
工程建议价
(除税)
行情 品牌 单位 税率 供应商 报价日期
收费技术 接口板、空气开关、避雷器、布线架、机柜等 查看价格 查看价格

13% 深圳市金溢科技股份有限公司
总控应用服务器 DELLi7-97008G512GSSD+2T机械盘6G独显 查看价格 查看价格

大峡谷

13% 大峡谷照明系统(苏州)股份有限公司
收费技术 接口板、空气开关、避雷器、布线架、机柜等 查看价格 查看价格

13% 广州滕浩电子科技有限公司
总控应用服务器 DELL i7-9700 8G 512GSSD+2T机械盘 6G独显 查看价格 查看价格

江苏明朗

13% 江苏明朗照明科技有限公司
总控应用服务器 DELL i7-9700 8G 512GSSD+2T机械盘 6G独显 查看价格 查看价格

佛山银河照明

13% 佛山市银河兰晶科技股份有限公司
总控应用服务器 DELL i7-9700 8G 512GSSD+2T机械盘 6G独显 查看价格 查看价格

光联照明

13% 上海光联照明有限公司
LoRa智慧灌溉手机终端应用软件 ZHGGRJ-M 查看价格 查看价格

绿粤

13% 深圳市绿粤生态科技有限公司
全光谱筒灯(罗化独家技术让自然光在家里点亮) 功率:7W/9W/12W 查看价格 查看价格

罗化光源

13% 深圳市罗化光源有限公司
材料名称 规格/型号 除税
信息价
含税
信息价
行情 品牌 单位 税率 地区/时间
提升架水过滤器 查看价格 查看价格

台班 汕头市2012年3季度信息价
提升架水过滤器 查看价格 查看价格

台班 汕头市2011年2季度信息价
提升架水过滤器 查看价格 查看价格

台班 广州市2010年4季度信息价
提升架水过滤器 查看价格 查看价格

台班 汕头市2010年4季度信息价
提升架水过滤器 查看价格 查看价格

台班 韶关市2008年6月信息价
提升架水过滤器 查看价格 查看价格

台班 韶关市2008年4月信息价
提升架水过滤器 查看价格 查看价格

台班 汕头市2008年1季度信息价
提升架水过滤器 查看价格 查看价格

台班 韶关市2008年3月信息价
材料名称 规格/需求量 报价数 最新报价
(元)
供应商 报价地区 最新报价时间
人员主被动定位通信应用系统 人员主被动定位通信应用系统|1套 1 查看价格 南京埃尔乔亿自控设备有限公司 四川   2021-06-16
创新成果--技术创新应用 发光字5厘进口亚克力宽:0.15m 高:0.15m|11个 3 查看价格 东莞市创发广告有限公司 广东   2022-11-17
创新成果--技术创新应用 水晶字8、5厘进口亚克力喷漆宽:0.03m 高:0.03m|53个 3 查看价格 东莞市创发广告有限公司 广东   2022-11-17
Linux企业64位7.6以上版本 相当于Linux企业64位7.6以上版本|4个 1 查看价格 广州市熹尚科技设备有限公司 全国   2021-09-09
乙二胺(EDA) 无|1032.785kg 1 查看价格 广州市德力化工有限公司 全国   2020-03-26
数据 SQL Server2012标准(或企业)|1m² 1 查看价格 上海倾菲电子科技有限公司 全国   2020-11-03
物联网创新技术应用实训工位 设备整体尺寸长宽高为 2.0m×0.45m×1.75m;人体工程学设计,便于学生对于设备的安装配置等实训操作;配备两组网孔操作面板(正面、顶部),用于部署各类物联网设备,搭建各种物联网应用场景;有|18套 3 查看价格 北京智联友道科技有限公司 广东  深圳市 2018-05-16
组态软件(包含5用户开发、运行) 组态软件 (包含5用户开发、运行)|13套 2 查看价格 广州市熹尚科技设备有限公司 广东   2021-06-30

第1章 绪论

1.1 EDA技术的涵义

1.2 EDA技术的发展历程

1.3 EDA技术的主要内容

1.3.1 大规模可编程逻辑器件

1.3.2 硬件描述语言(m)L)

1.3.3 EDA软件开发工具

1.3.4 EDA实验开发系统

1.4 EDA软件系统的构成

1.5 EDA工具的发展趋势

1.6 EDA的工程设计流程

1.6.1 FPGA/CPI..D工程设计流程

1.6.2 ASIC工程设计流程

1.7 数字系统的设计

1.7.1 数字系统的设计模型

1.7.2 数字系统的设计方法

1.7.3 数字系统的设计准则

1.7.4 数字系统的设计步骤

1.8 EDA技术的应用展望

习题

第2章 大规模可编程逻辑器件

2.1 可编程逻辑器件概述

2.1.1 PLD的发展进程

2.1.2 PLD的分类方法

2.1.3 常用CPL.D和FPGA标识的含义

2.2 Lattice公司的CPID和FPGA器件

2.2.1 Lattice公司的CPLD和FPGA概述

2.2.2 ispI~SI/pLSI系列CPL。D结构

2.2.3 ispMACH系列CPLD结构

2.2.4 EC/ECP系列FPGA结构

2.2.5 XP/XP2系列FPGA结构

2.2.6 MachXO系列FPGA结构

2.3 Altera公司的CPID和FPGA器件

2.3.1 Altera公司的CPLD和FPGA概述

2.3.2 MAX系列CP[D结构

2.3.3 MAXII系列CPLD结构

2.3.4 Cyclone系列FPGA结构

2.3.5 Stratix系列FPGA结构

2.4 Xilinx公司的CPLD和FPGA器件

2.4.1 Xilinx公司的CPLD和FPGA楣述

2.4.2 XC9500系列CPLD结构

2.4.3 CoolRunner系列CPLD结构

2.4.4 Spartan系列FPGA结构

2.4.5 Virtex系列FPGA结构

2.5 CPID和FPGA的编程与配置

2.5.1 CPID和FPGA的编程配置

2.5.2 CPLD和FPGA的下载接口

2.5.3 CPID器件的编程电路

2.5.4 FPGA器件的配置电路

2.6 FPGA和CPID的开发应用选择

习题

第3章 VHDL编程基础

3.1 概述

3.1.1 常用硬件描述语言简介

3.1.2 VHDI..的优点

3.1.3 VHDI..程序设计约定

3.2 VHDL.程序基本结构

3.2.1 VHDL。程序设计举例

3.2.2 VHDL,程序的基本结构

3.2.3 库、程序包使用说明

3.2.4 实体描述

3.2.5 结构体描述

3.2.6 结构体配置

3.3 VHDL语言要素

3.3.1 VHDL文字规则

3.3.2 VHDL数据对象

3.3.3 VHDL数据类型

3.3.4 VHDL操作符

3.4 VHDL顺序语句

3.4.1 赋值语句

3.4.2 转向控制语句

3.4.3 等待语句

3.4.4 子程序调用语句

3.4.5 返回语句

3.4.6 空操作语句

3.4.7 其他语句和说明

3.5 VHDI.,并行语句

3.5.1 进程语句

3.5.2 块语句

3.5.3 并行信号赋值语句

3.5.4 并行过程调用语句

3.5.5 元件例化语句

3.5.6 生成语句

3.6 子程序

3.6.1 函数

3.6.2 重载函数

3.6.3 过程

3.6.4 重载过程

3.7 程序包

3.8 VHDL.描述风格

3.8.1 行为描述

3.8.2 数据流描述

3.8.3 结构描述

3.9 基本逻辑电路设计

3.9.1 组合逻辑电路设计

3.9.2 时序逻辑电路设计

3.9.3 存储器电路设计

3.10状态机的VHDL.设计

3.10.1 状态机的基本结构和功能

3.10.2 一般状态机的VHDL设计

3.10.3摩尔状态机的VHDL设计

3.10.4 米立状态机的VHDL设计

习题

第4章 常用EDA工具软件操作指南

4.1 常用EDA工具软件安装指南

4.2 常用EDA工具软件操作用例

4.2.1 4位十进制计数器电路

4.2.2 计数动态扫描显示电路

4.2.3 EDA仿真测试模型及程序

4.3 AlteraQuartusII操作指南

4.3.1 QuartusII的初步认识

4.3.2 QuartusII的基本操作

4.3.3 Quartus1I的综合操作

4.3.4 QuartuslI的SOPC开发

4.4 XilinxISEDesignSuite操作指南

4.4.1 XilinxISE的初步认识

4.4.2 ISESuite的基本操作

4.4 13ISESuite的综合操作

4.5 LatticeispI.,EVEL操作指南

4.5.1 ispLEVEL的初步认识

4.5.2 ispLEVE[。的基本操作

4.5.3 ispl.,EVEL,的综合操作

4.6 SynplicitySynplifyPRO操作指南

4.6.1 SynplifyPRO的使用步骤

4.6.2 SynplifyPRO的使用实例

4.7 Mentor.GraphicsModelSim操作指南

4.7.1 ModelSim的使用步骤

4.7.2 ModelSim的使用实例

习题

第5章 EDA实验开发系统

5.1 通用EDA实验开发系统概述

5.1.1 EDA实验开发系统的基本组成

5.1.2 EDA实验开发系统的性能指标

5.1.3 通用EDA实验开发系统的工作原理

5.1.4 通用EDA实验开发系统的使用方法

5.2 GW48型:EDA实验开发系统的使用

5.2.1 GW48型EDA实验开发系统介绍

5.2.2 GW48实验电路结构图

5.2.3 GW48系统结构图信号名与芯片引脚对照表

5.2.4 GW48型EDA实验开发系统使用实例

习题

第6章 VHDL设计应用实例

6.1 8位加法器的设计

6.2 8位乘法器的设计

6.3 8位除法器的设计

6.4 PWM信号发生器的设计

6.5 数字频率计的设计

6.6 数字秒表的设计

6.7 单片机总线接口电路的设计

6.8 交通灯信号控制器的设计

6.9 高速PID控制器的设计

6.10 FIR滤波器的设计

6.11 CORDIC算法的应用设计

6.12 闹钟系统的设计

6.12.1 系统设计思路

6.12.2 VHDL源程序

6.12.3 仿真结果验证

6.12.4 逻辑综合分析

6.12.5 硬件逻辑验证

习题

第7章 EDA技术实验

7.1 实验一:8位加法器的设计

7.2 实验二:序列检测器的设计

7.3 实验三:PWM信号发生器的设计

7.4 实验四:数字频率计的设计

7.5 实验五:数字秒表的设计

7.6 实验六:交通信号灯控制器的设计

7.7 实验报告范例

附录1 常用FPGA/CPLD管脚图

附录2 利用WWW进行EDA资源的

检索

主要参考文献

书 名: EDA技术及应用:VHDL版

ISBN: 9787560625492

开本: 16开

定价: 45.00元

EDA技术及应用:VHDL版常见问题

  • vhdl数码管显示数字吗?

    七段数码管显示   0-F   16   个   16进制的数字。   2、观察字符亮度和显示刷新的...

  • VHDL D触发器的原理

    D触发器(data flip-flop或delay flip-flop。)该触发器由6个与非门组成,其中G1和G2构成基本RS触发器。电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP...

  • 数控技术及应用的简介

    作者:赵玉冰 主编ISBN:10位[7502626212]13位[9787502626211]出版社:中国计量出版社出版日期:2007-6-1定价:¥24.00元

EDA技术及应用:VHDL版文献

EDA课程设计报告(交通信号控制器的VHDL的设计) EDA课程设计报告(交通信号控制器的VHDL的设计)

格式:pdf

大小:196KB

页数: 7页

评分: 4.4

7 交通信号控制器的 VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿 LED作为交通 信号灯,设计一个交通信号灯控制器。能达到的要求: (1) 交通灯从绿变红时,有 4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为 40秒,支干道的绿灯时间为 20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图 1 路口交通管理示意图 A B C D 主干道交通灯 绿(40秒) 黄(4秒) 红(20秒) 红(4秒) 支干道交通灯 红 红 绿 黄 表 1 交通信号灯的 4种状态 二、设计原理 1、设计目的: 学习DEA开发软件和 QuartusII的使用方法,熟悉可编程逻辑器件的使用。通过制作来 了解交通灯控制系统,交通灯控制系统主要是实现城市十字交叉路口红绿灯的控制 2

立即下载
EDA课程设计报告(交通信号控制器的VHDL的设计) (2) EDA课程设计报告(交通信号控制器的VHDL的设计) (2)

格式:pdf

大小:196KB

页数: 8页

评分: 4.6

广东海洋大学 《EDA 设计实习》报告 姓 名 张艺 学院(系) 信息学院电子系 专 业 名 称 班 级 电子 1073 学 号 200711611332 课 程 设 计 内 容 交通信号控制器的 VHDL的设计 时 间 2010 年 5月 成绩: 教师签名: 交通信号控制器的 VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿 LED作为交通 信号灯,设计一个交通信号灯控制器。能达到的要求: (1) 交通灯从绿变红时,有 4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为 40秒,支干道的绿灯时间为 20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图 1 路口交通管理示意图 A B C D 主干道交通灯 绿(40秒) 黄(4秒) 红(20秒) 红(

立即下载

该书主要介绍EDA技术的基本概念、应用特点、可编程逻辑器件、硬件描述语言(VHDL)及常用逻辑单元电路的VHDL编程技术;以及EDA技术的开发过程、开发工具软件Quartus Ⅱ的使用、EDA设计过程中常见工程问题的处理等。《EDA技术及应用教程》从教学和应用的角度出发,首先介绍了EDA技术的基本概念、应用特点、可编程逻辑器件、硬件描述语言(VHDL)及常用逻辑单元电路的VHDL编程技术;然后,以EDA应用为目的,通过EDA实例详细介绍了EDA技术的开发过程、开发工具软件Quartus Ⅱ的使用、EDA设计过程中常见工程问题的处理;最后,介绍了工程中典型的EDA设计实例。

《EDA技术及应用教程》各章节均配有习题及设计实例练习,便于读者学习和教学使用。

《EDA技术及应用教程》可作为高等院校电子、通信、自动化及计算机等专业EDA应用技术的教学用书,也可作为高职院校相关专业的教学参考用书。

本书是数字电路电子设计自动化(EDA)入门的工具书,其内容主要包括:用VHDL设计的基本组合电路、时序电路、数字综合电路、电路图输入法要领概述、实用VHDL语句等;附录部分介绍了VHDL基本知识和基本术语,中小规模集成电路等 。

本书提供的所有程序代码都经过MAX+plus Ⅱ9.23软件和PLD器件的编译、仿真、下载和实际测量,可以作为进一步开发的参考。大部分实例电路都是在设计数字电路时经常使用的电路;本书为那些想快速步入EDA设计大门的读者提供了一个仿制、借鉴、156 研究、创新的良好工作平台。

前言

第1章 概述

1.1 EDA技术的发展

1.2 EDA技术的主要内容

1.2.1 可编程逻辑器件

1.2.2 硬件描述语言

1.2.3 EDA软件开发工具

1.2.4 实验开发系统

1.3 EDA技术的设计流程

1.3.1 设计输入

1.3.2 逻辑综合

1.3.3 目标器件的适配

1.3.4 目标器件的编程/下载

1.3.5 设计过程中的仿真

1.3.6 硬件仿真/硬件测试

1.4 EDA技术的设计方法

1.5 习题

第2章 可编程逻辑器件

2.1 简单PLD的基本结构

2.2 CPLD的基本结构

2.3 FPCA的基本结构

2.4 可编程逻辑器件产品简介

2.4.1 Ahera系列产品

2.4.2 Xilinx系列产品

2.4.3 Lattice系列产品

2.5 习题

第3章 硬件描述语言VHDL

3.1 VHDL简介

3.1.1 VHDL的发展及特点

3.1.2 传统设计与VHDL设计对照

3.2 VHDL程序的基本结构

3.2.1 VHDL程序的基本单元与构成

3.2.2 实体

3.2.3 结构体

3.2.4 程序包.库和配置

3.3 VHDL的语法要素

3.3.1 VHDL文字规则

3.3.2 VHDL数据对象

3.3.3 VHDL数据类型

3.3.4 运算操作符

3.4 VHDL结构体的描述方式

3.4.1 顺序描述语句

3.4.2 并行描述语句

3.4.3 属性描述语句

3.5 VHDL设计逻辑电路的基本思想和方法

3.5.1 逻辑函数表达式方法

3.5.2 真值表方法

3.5.3 电路连接描述方法

3.5.4 不完整条件语句方法

3.5.5 层次化设计方法

3.6 习题

第4章 用VHDL程序实现常用逻辑电路

4.1 组合逻辑电路设计

4.1.1 基本逻辑门

4.1.2 三态门

4.1.3 3-8译码器

4.1.4 优先编码器

4.1.5 7段码译码器

4.1.6 二-十进制BCD译码器

4.1.7 多位加(减)法器

4.2 时序逻辑电路设计

4.2.1 触发器

4.2.2 计数器

4.2.3 分频器

4.2.4 移位寄存器

4.3 状态机逻辑电路设计

4.3.1 一般状态机的设计

4.3.2 状态机的应用

4.4 习题

第5章 EDA开发软件及应用

5.1 QuartusII软件简介

5.2 QuartusII软件的安装

5.2.1 系统要求

5.2.2 安装操作

5.2.3 安装许可证

5.3 QuartusII设计输入

5.3.1 文本设计输入方式

5.3.2 原理图设计输入方式

5.3.3 混合输入方式

5.4 QuartusII设计编译

5.4.1 编译前的设置

5.4.2 全程编译

5.5 QuartusII设计仿真

5.5.1 创建波形文件

5.5.2 创建输入输出向量

5.5.3 设置仿真时间

5.5.4 设置输入信号

5.5.5 波形仿真

5.6 QuartusII器件编程

5.6.1 引脚设置和下载

5.6.2 器件编程下载

5.7 Max+plusII软件简介

5.7.1 设计输入

5.7.2 设计编译

5.7.3 设计校验

5.7.4 器件编程

5.8 转化Max+PlusII工程文件

5.9 习题

第6章 EDA仿真技术应用实例

6.1 带使能和片选端的16:4线优先编码器设计

6.1.1 原理分析

6.1.2 程序设计

6.1.3 编译仿真

6.2 7段显示译码器设计

6.2.1 原理分析

6.2.2 程序设计

6.2.3 编译仿真

6.3 带异步清零端的12位二进制全加器设计

6.3.1 原理分析

6.3.2 程序设计

6.3.3 编译仿真

6.4 带异步清零/置位端的Ⅸ触发器设计

6.4.1 原理分析

6.4.2 程序设计

6.4.3 编译仿真

6.5 4位锁存器设计

6.5.1 原理分析

6.5.2 程序设计

6.5.3 编译仿真

6.6 32进制多样型计数器设计

6.6.1 原理分析

6.6.2 程序设计

6.6.3 编译仿真

6.7 8位多样型移位寄存器设计

6.7.1 原理分析

6.7.2 程序设计

6.7.3 编译仿真

6.8 Moore状态机的设计

6.8.1 原理分析

6.8.2 程序设计

6.8.3 编译仿真

6.9 Mealy状态机的设计

6.9.1 原理分析

6.9.2 程序设计

6.9.3 编译仿真

6.1 0习题

第7章 QuanusII中的宏功能模块及应用

7.1 QuartusII宏功能模块概述

7.1.1 宏功能模块与LPM函数

7.1.2 知识产权IP核

7.2 宏功能模块定制管理器

7.2.1 宏功能模块定制管理器的使用

7.2.2 宏功能模块定制管理器的文件

7.3 宏功能模块的应用

7.3.1 arithmetic宏功能模块

7.3.2 gates宏功能模块

7.3.3 10组件宏功能模块的使用

7.3.4 storage宏功能模块的使用

7.4 宏功能模块的例化

7.5 习题

第8章 常见EDA设计中的工程问题

8.1 建立时间和保持时间

8.2 竞争和冒险

8.2.1 PLD内部毛刺产生的原因

8.2.2 毛刺消除

8.3 EDA设计中的同步电路

8.3.1 同步电路与异步电路

8.3.2 同步清除和置位信号

8.4 时钟问题

8.5 面积与速度之间的关系

8.5.1 串并转换

8.5.2 流水线操作

8.6 低功耗设计原则

8.7 数字系统设计中可编程器件的选择原则

8.7.1 从系统设计角度的目标器件选择原则

8.7.2 从器件资源角度的目标器件选择原则

8.7.3 从器件管脚来确定

8.8 习题

第9章 EDA技术工程应用实例

9.1 交通灯控制器的设计

9.1.1 原理分析

9.1.2 程序设计

9.1.3 编译仿真

9.2 4X5矩阵键盘设计

9.2.1 原理分析

9.2.2 程序设计

9.2.3 编译仿真

9.3 数字电子钟设计

9.3.1 原理分析

9.3.2 程序设计

9.3.3 编译仿真

9.4 6位十进制数字频率计设计

9.4.1 原理分析

9.4.2 程序设计

9.4.3 编译仿真

9.5 数字波形产生器设计

9.5.1 原理分析

9.5.2 程序设计

9.5.3 编译仿真

9.6 10层全自动电梯控制器设计

9.6.1 原理分析

9.6.2 程序设计

9.6.3 编译仿真

9.7 17阶线性相位FIR滤波器设计

9.7.1 原理分析

9.7.2 程序设计

9.7.3 编译仿真

9.8 习题

参考文献

……

EDA技术及应用:VHDL版相关推荐
  • 相关百科
  • 相关知识
  • 相关专栏