CPLD/FPGA技术应用

《CPLD/FPGA技术应用》采用教、学、练一体化教学模式,以提高实际工程应用能力为目的,将EDA技术基本知识、VHDL硬件描述语言、可编程逻辑器件、开发软件应用等相关知识贯穿于多个实际案例中,使读者通过《CPLD/FPGA技术应用》的学习能初步了解和掌握EDA的基本内容及实用技术。全书分为6个学习项目。学习项目1通过译码器的设计,简要介绍EDA技术的基本知识、原理图输入法及进行电路设计的基本流程;学习项目2通过频率计的设计,介绍可编程逻辑器件(CPLD与FPGA)的芯片结构、工作原理以及层次化电路原理图输入方法;学习项目3通过数据选择器的设计与应用,介绍VHDL硬件描述语言程序的基本结构与文本法电路设计软件使用流程;学习项目4~6通过全加器、寄存器、计数器等电路模块设计,分别介绍相关的VHDL语法及编程技巧等。

CPLD/FPGA技术应用基本信息

书名 CPLD/FPGA技术应用 作者 王芳
ISBN 9787121147630 页数 164
定价 21.00元 出版社 电子工业出版社
出版时间 2011年11月1日 开本 16开

教学导航3(61)

3.1 VHDL语言的特点与结构(62)

3.1.1 VHDL语言的特点(62)

3.1.2 VHDL程序的基本结构(63)

3.2 数据选择器逻辑功能分析(64)

3.2.1 数据选择器的逻辑功能(64)

3.2.2 数据选择器的扩展及其应用(66)

3.3 数据选择器VHDL设计(68)

3.3.1 2选1数据选择器的VHDL描述(68)

3.3.2 2选1数据选择器的语言现象说明(71)

3.4 数据选择器文本输入设计(76)

3.4.1 编辑文件(77)

3.4.2 创建工程(78)

3.4.3 编译(81)

3.4.4 仿真(81)

3.4.5 应用RTL电路观察器(83)

3.4.6 硬件测试(84)

操作测试3优先编码器的VHDL设计(85)

习题3(86)

CPLD/FPGA技术应用造价信息

市场价 信息价 询价
材料名称 规格/型号 市场价
(除税)
工程建议价
(除税)
行情 品牌 单位 税率 供应商 报价日期
收费技术 接口板、空气开关、避雷器、布线架、机柜等 查看价格 查看价格

13% 深圳市金溢科技股份有限公司
总控应用服务器 DELLi7-97008G512GSSD+2T机械盘6G独显 查看价格 查看价格

大峡谷

13% 大峡谷照明系统(苏州)股份有限公司
收费技术 接口板、空气开关、避雷器、布线架、机柜等 查看价格 查看价格

13% 广州滕浩电子科技有限公司
总控应用服务器 DELL i7-9700 8G 512GSSD+2T机械盘 6G独显 查看价格 查看价格

江苏明朗

13% 江苏明朗照明科技有限公司
总控应用服务器 DELL i7-9700 8G 512GSSD+2T机械盘 6G独显 查看价格 查看价格

佛山银河照明

13% 佛山市银河兰晶科技股份有限公司
总控应用服务器 DELL i7-9700 8G 512GSSD+2T机械盘 6G独显 查看价格 查看价格

光联照明

13% 上海光联照明有限公司
LoRa智慧灌溉手机终端应用软件 ZHGGRJ-M 查看价格 查看价格

绿粤

13% 深圳市绿粤生态科技有限公司
全光谱筒灯(罗化独家技术让自然光在家里点亮) 功率:7W/9W/12W 查看价格 查看价格

罗化光源

13% 深圳市罗化光源有限公司
材料名称 规格/型号 除税
信息价
含税
信息价
行情 品牌 单位 税率 地区/时间
技术工日 查看价格 查看价格

工日 深圳市2022年11月信息价
技术工日 查看价格 查看价格

工日 深圳市2022年10月信息价
技术工日 查看价格 查看价格

工日 深圳市2022年6月信息价
技术工日 查看价格 查看价格

工日 深圳市2022年5月信息价
技术工日 查看价格 查看价格

工日 深圳市2022年3月信息价
技术工日 查看价格 查看价格

工日 深圳市2022年2月信息价
技术工日 查看价格 查看价格

工日 深圳市2022年1月信息价
技术工日 查看价格 查看价格

工日 深圳市2021年6月信息价
材料名称 规格/需求量 报价数 最新报价
(元)
供应商 报价地区 最新报价时间
创新成果--技术创新应用 发光字5厘进口亚克力宽:0.15m 高:0.15m|11个 3 查看价格 东莞市创发广告有限公司 广东   2022-11-17
创新成果--技术创新应用 水晶字8、5厘进口亚克力喷漆宽:0.03m 高:0.03m|53个 3 查看价格 东莞市创发广告有限公司 广东   2022-11-17
物联网创新技术应用实训工位 设备整体尺寸长宽高为 2.0m×0.45m×1.75m;人体工程学设计,便于学生对于设备的安装配置等实训操作;配备两组网孔操作面板(正面、顶部),用于部署各类物联网设备,搭建各种物联网应用场景;有|18套 3 查看价格 北京智联友道科技有限公司 广东  深圳市 2018-05-16
PLC应用软件 PLC应用软件|1个 1 查看价格 中建普联 广东  阳江市 2016-08-01
通讯与控制技术应用实训软件 ,控制中心界面上会实时显示列车当前所在区段的位置、允许车速、信号机状态.轨道电路所有区段初始化信号机为绿灯,当列车经过时,再根据列车位置列控中心智能分配信号机状态.(2)进出站模式当列车由区段8开始|1套 3 查看价格 北京智联友道科技有限公司 广东  深圳市 2018-05-16
视频应用软件 视频应用软件|1套 1 查看价格 深圳市慧景荣科技有限公司 广东  阳江市 2016-11-09
应用软件 应用软件|1套 3 查看价格 上海倾菲电子科技有限公司 广东   2020-12-09
应用软件 应用软件|1套 3 查看价格 广州弘联电子技术有限公司    2017-02-23

出版时间: 2011年11月1日

开本: 16开定价: 21.00元

教学导航2(32)

2.1 可编程逻辑器件基础(33)

2.1.1 可编程逻辑器件的特点及分类(33)

2.1.2 PLD中阵列的表示方法(34)

2.1.3 CPLD的结构和工作原理(35)

2.1.4 FPGA的结构和工作原理(40)

2.1.5 CLPD/FPGA产品系列(44)

2.2 频率计逻辑功能分析(46)

2.2.1 测频控制电路(47)

2.2.2 有时钟使能的2位十进制计数器(48)

2.2.3 锁存、译码显示电路(49)

2.3 频率计原理图输入设计(50)

2.3.1 2位十进制计数器(50)

2.3.2 频率计顶层电路设计(54)

2.3.3 引脚设置与下载(57)

操作测试2用原理图输入法设计8位全加器(58)

习题2(60)

CPLD/FPGA技术应用常见问题

  • BIM技术应用领域

  • 大屏幕的技术应用

    随着科技发展以及显示的要求,大屏幕系统在各行各业的应用也越来越多,对于大屏幕系统来说,显示技术主要有以下几种:1、CRT显示技术。 采用阴极射线管(CRT)技术的大屏幕投影显示屏,这种技术也是最早采用...

  • BIM技术应用是在什么

教学导航6(138)

6.1 计数器逻辑功能分析(139)

6.1.1 各种类型计数器的逻辑功能(139)

6.1.2 计数器的扩展及应用(145)

6.2 4位二进制加法计数器设计(148)

6.2.1 4位二进制加法计数器的语言现象说明(148)

6.2.2 整数类型(149)

6.2.3 计数器设计的其他表述方法(149)

6.3 一般加法计数器设计(152)

6.3.1 十进制加法计数器设计(152)

6.3.2 六十进制加法计数器设计(155)

6.3.3 可作计数器使用的移位寄存器设计(157)

操作测试6任意进制计数器的VHDL设计(159)

习题6(160)

附录AGW48CK/PK2/PK3/PK4系统万能接插口与结构图信号/芯片引脚对照表(161)

参考文献(164)

教学导航5(113)

5.1 寄存器逻辑功能分析(114)

5.1.1 基本寄存器的逻辑功能(114)

5.1.2 寄存器的扩展及应用(117)

5.2 寄存器VHDL语言设计(119)

5.2.1 D触发器的VHDL描述(120)

5.2.2 D触发器的语言现象说明(121)

5.2.3 实现时序电路的不同表述(124)

5.2.4 异步时序电路设计(127)

5.3 移位寄存器VHDL语言设计(128)

5.3.1 移位寄存器的描述(128)

5.3.2 移位寄存器的语言现象说明(129)

操作测试5JK触发器的VHDL设计(135)

习题5(136)

教学导航1(1)

1.1 EDA技术的特点与发展趋势(2)

1.1.1 EDA技术的发展历史(2)

1.1.2 EDA技术的特点(3)

1.1.3 EDA技术的发展趋势(4)

1.2 译码器逻辑功能分析(6)

1.2.1 译码器的逻辑功能(6)

1.2.2 译码器的扩展及应用(11)

1.3 译码器原理图输入设计(12)

1.3.1 EDA开发软件--QuartusⅡ(13)

1.3.2 编辑文件(14)

1.3.3 创建工程(16)

1.3.4 编译(21)

1.3.5 仿真(22)

1.3.6 引脚设置与下载(27)

操作测试1原理图方式输入电路的功能分析(30)

习题1(31)

教学导航4(88)

4.1 VHDL数据结构(89)

4.1.1 VHDL语言的标识符和数据对象(89)

4.1.2 数据类型、表达式(92)

4.2 全加器逻辑功能分析(98)

4.2.1 全加器的逻辑功能(98)

4.2.2 全加器的扩展及应用(100)

4.3 半加器的VHDL语言设计(101)

4.3.1 半加器与或门描述(102)

4.3.2 半加器与或门的语言现象说明(103)

4.4 全加器VHDL语言设计(107)

4.4.1 全加器描述(107)

4.4.2 全加器的语言现象说明(108)

操作测试4全减器的VHDL设计(110)

习题4(112)

CPLD/FPGA技术应用文献

基于CPLD_FPGA器件参数化开方电路的设计及应用 基于CPLD_FPGA器件参数化开方电路的设计及应用

格式:pdf

大小:382KB

页数: 4页

评分: 4.4

Ξ 基于 CPLD/ FPGA 器件参数化开方电路的设计及应用 魏佩敏  赵伟强 (绍兴文理学院  工学院 ,浙江  绍兴 312000) 摘  要 :讨论二进制开方运算的过程以及利用 CPLD/ FPGA 进行开方运算硬件电路的设计思想和实现方案 . 关键词 :二进制码 ;开方 ;CPLD/ FPGA ;H DL 语言 中图分类号 :TN702   文献标识码 :A    文章编号 :1008 - 293X (2005) 10 - 0058 - 04                      目前在模拟信号数字化处理过程中 ,数字可编程器件以其性能稳定 、处理速度快而得到广泛应用 ,特 别是 EDA 软件及在线可编程技术的使用 ,使设计的灵活性和设计速度大幅度提高 .在 CPLD/ FPGA 的开发 应用中 ,大多数 EDA 软件都提供加法 、减法 、乘法的设计向导 ,或提供 LPM宏函

立即下载
《PLC技术应用》课程建设探索 《PLC技术应用》课程建设探索

格式:pdf

大小:382KB

页数: 1页

评分: 4.5

PLC技术在工业控制领域已经得到愈来愈广泛的应用,已经成为工业自动化三大支柱——PLC技术、机器人技术、CAD/CAM技术之首。PLC的设计以工业控制为目标,接线简单、通用性强、编程容易、抗干扰能力强、工作可靠。它一问世即以强大的生命力,大面积地占领了传统的控制领域。《PLC技术应用》课程的目的就是要使学生通过本课程的学习掌握PLC技术的基本原理,会运用PLC常用基本指令,会设计简单PLC控制的系统,会使用编程软件进行梯形图编制,会进行PLC系统的调试,提高学生在工控技术应用方面的能力。

立即下载

导读: 本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD设计工作中取得事半功倍的效果。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA/CPLD的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,希望本文能引起工程师们的注意,如果能有意识地利用这些原则指导日后的设计工作,将取得事半功倍的效果!

1、乒乓操作

“乒乓操作”是一个常常应用于数据流控制的处理技巧,典型的乒乓操作方法如图1所示。

乒乓操作的处理流程为:输入数据流通过“输入数据选择单元”将数据流等时分配到两个数据缓冲区,数据缓冲模块可以为任何存储模块,比较常用的存储单元为双口RAM(DPRAM)、单口RAM(SPRAM)、FIFO等。在第一个缓冲周期,将输入的数据流缓存到“数据缓冲模块1”;在第2个缓冲周期,通过“输入数据选择单元”的切换,将输入的数据流缓存到“数据缓冲模块2”,同时将“数据缓冲模块1”缓存的第1个周期数据通过“输入数据选择单元”的选择,送到“数据流运算处理模块”进行运算处理;在第3个缓冲周期通过“输入数据选择单元”的再次切换,将输入的数据流缓存到“数据缓冲模块1”,同时将“数据缓冲模块2”缓存的第2个周期的数据通过“输入数据选择单元”切换,送到“数据流运算处理模块”进行运算处理。如此循环。

乒乓操作的最大特点是通过“输入数据选择单元”和“输出数据选择单元”按节拍、相互配合的切换,将经过缓冲的数据流没有停顿地送到“数据流运算处理模块”进行运算与处理。把乒乓操作模块当做一个整体,站在这个模块的两端看数据,输入数据流和输出数据流都是连续不断的,没有任何停顿,因此非常适合对数据流进行流水线式处理。所以乒乓操作常常应用于流水线式算法,完成数据的无缝缓冲与处理。

乒乓操作的第二个优点是可以节约缓冲区空间。比如在WCDMA基带应用中,1个帧是由15个时隙组成的,有时需要将1整帧的数据延时一个时隙后处理,比较直接的办法是将这帧数据缓存起来,然后延时1个时隙进行处理。这时缓冲区的长度是1整帧数据长,假设数据速率是3.84Mbps,1帧长10ms,则此时需要缓冲区长度是38400位。如果采用乒乓操作,只需定义两个能缓冲1个时隙数据的RAM(单口RAM即可)。

当向一块RAM写数据的时候,从另一块RAM读数据,然后送到处理单元处理,此时每块RAM的容量仅需2560位即可,2块RAM加起来也只有5120位的容量。

另外,巧妙运用乒乓操作还可以达到用低速模块处理高速数据流的效果。如图2所示,数据缓冲模块采用了双口RAM,并在DPRAM后引入了一级数据预处理模块,这个数据预处理可以根据需要的各种数据运算,比如在WCDMA设计中,对输入数据流的解扩、解扰、去旋转等。假设端口A的输入数据流的速率为100Mbps,乒乓操作的缓冲周期是10ms。以下分析各个节点端口的数据速率。

A端口处输入数据流速率为100Mbps,在第1个缓冲周期10ms内,通过“输入数据选择单元”,从B1到达DPRAM1。B1的数据速率也是100Mbps,DPRAM1要在10ms内写入1Mb数据。同理,在第2个10ms,数据流被切换到DPRAM2,端口B2的数据速率也是100Mbps,DPRAM2在第2个10ms被写入1Mb数据。在第3个10ms,数据流又切换到DPRAM1,DPRAM1被写入1Mb数据。

仔细分析就会发现到第3个缓冲周期时,留给DPRAM1读取数据并送到“数据预处理模块1”的时间一共是20ms。有的工程师困惑于DPRAM1的读数时间为什么是20ms,这个时间是这样得来的:首先,在在第2个缓冲周期向DPRAM2写数据的10ms内,DPRAM1可以进行读操作;

在第1个缓冲周期的第5ms起(绝对时间为5ms时刻),DPRAM1就可以一边向500K以后的地址写数据,一边从地址0读数,到达10ms时,DPRAM1刚好写完了1Mb数据,并且读了500K数据,这个缓冲时间内DPRAM1读了5ms;在第3个缓冲周期的第5ms起(绝对时间为35ms时刻),同理可以一边向500K以后的地址写数据一边从地址0读数,又读取了5个ms,所以截止DPRAM1第一个周期存入的数据被完全覆盖以前,DPRAM1最多可以读取20ms时间,而所需读取的数据为1Mb,所以端口C1的数据速率为:1Mb/20ms=50Mbps。因此,“数据预处理模块1”的最低数据吞吐能力也仅仅要求为50Mbps。同理,“数据预处理模块2”的最低数据吞吐能力也仅仅要求为50Mbps。换言之,通过乒乓操作,“数据预处理模块”的时序压力减轻了,所要求的数据处理速率仅仅为输入数据速率的1/2。

通过乒乓操作实现低速模块处理高速数据的实质是:通过DPRAM这种缓存单元实现了数据流的串并转换,并行用“数据预处理模块1”和“数据预处理模块2”处理分流的数据,是面积与速度互换原则的体现!

2、串并转换设计技巧

串并转换是FPGA设计的一个重要技巧,它是数据流处理的常用手段,也是面积与速度互换思想的直接体现。

串并转换的实现方法多种多样,根据数据的排序和数量的要求,可以选用寄存器、RAM等实现。前面在乒乓操作的图例中,就是通过DPRAM实现了数据流的串并转换,而且由于使用了DPRAM,数据的缓冲区可以开得很大,对于数量比较小的设计可以采用寄存器完成串并转换。如无特殊需求,应该用同步时序设计完成串并之间的转换。比如数据从串行到并行,数据排列顺序是高位在前,可以用下面的编码实现:

prl_temp《={prl_temp,srl_in};

其中,prl_temp是并行输出缓存寄存器,srl_in是串行数据输入。

对于排列顺序有规定的串并转换,可以用case语句判断实现。对于复杂的串并转换,还可以用状态机实现。串并转换的方法比较简单,在此不必赘述。

3、流水线操作设计思想

首先需要声明的是,这里所讲述的流水线是指一种处理流程和顺序操作的设计思想,并非FPGA、ASIC设计中优化时序所用的“Pipelining”。

流水线处理是高速设计中的一个常用设计手段。如果某个设计的处理流程分为若干步骤,而且整个数据处理是“单流向”的,即没有反馈或者迭代运算,前一个步骤的输出是下一个步骤的输入,则可以考虑采用流水线设计方法来提高系统的工作频率。

流水线设计的结构示意图如图3所示。其基本结构为:将适当划分的n个操作步骤单流向串联起来。流水线操作的最大特点和要求是,数据流在各个步骤的处理从时间上看是连续的,如果将每个操作步骤简化假设为通过一个D触发器(就是用寄存器打一个节拍),那么流水线操作就类似一个移位寄存器组,数据流依次流经D触发器,完成每个步骤的操作。流水线设计时序如图4所示。

流水线设计的一个关键在于整个设计时序的合理安排,要求每个操作步骤的划分合理。如果前级操作时间恰好等于后级的操作时间,设计最为简单,前级的输出直接汇入后级的输入即可;如果前级操作时间大于后级的操作时间,则需要对前级的输出数据适当缓存才能汇入到后级输入端;如果前级操作时间恰好小于后级的操作时间,则必须通过复制逻辑,将数据流分流,或者在前级对数据采用存储、后处理方式,否则会造成后级数据溢出。

在WCDMA设计中经常使用到流水线处理的方法,如RAKE接收机、搜索器、前导捕获等。流水线处理方式之所以频率较高,是因为复制了处理模块,它是面积换取速度思想的又一种具体体现。

4、数据接口的同步方法

数据接口的同步是FPGA/CPLD设计的一个常见问题,也是一个重点和难点,很多设计不稳定都是源于数据接口的同步有问题。

在电路图设计阶段,一些工程师手工加入BUFT或者非门调整数据延迟,从而保证本级模块的时钟对上级模块数据的建立、保持时间要求。还有一些工程师为了有稳定的采样,生成了很多相差90度的时钟信号,时而用正沿打一下数据,时而用负沿打一下数据,用以调整数据的采样位置。这两种做法都十分不可取,因为一旦芯片更新换代或者移植到其它芯片组的芯片上,采样实现必须从新设计。而且,这两种做法造成电路实现的余量不够,一旦外界条件变换(比如温度升高),采样时序就有可能完全紊乱,造成电路瘫痪。

下面简单介绍几种不同情况下数据接口的同步方法:

1. 输入、输出的延时(芯片间、PCB布线、一些驱动接口元件的延时等)不可测,或者有可能变动的条件下,如何完成数据同步?

对于数据的延迟不可测或变动,就需要建立同步机制,可以用一个同步使能或同步指示信号。另外,使数据通过RAM或者FIFO的存取,也可以达到数据同步目的。

把数据存放在RAM或FIFO的方法如下:将上级芯片提供的数据随路时钟作为写信号,将数据写入RAM或者FIFO,然后使用本级的采样时钟(一般是数据处理的主时钟)将数据读出来即可。这种做法的关键是数据写入RAM或者FIFO要可靠,如果使用同步RAM或者FIFO,就要求应该有一个与数据相对延迟关系固定的随路指示信号,这个信号可以是数据的有效指示,也可以是上级模块将数据打出来的时钟。对于慢速数据,也可以采样异步RAM或者FIFO,但是不推荐这种做法。

数据是有固定格式安排的,很多重要信息在数据的起始位置,这种情况在通信系统中非常普遍。通讯系统中,很多数据是按照“帧”组织的。而由于整个系统对时钟要求很高,常常专门设计一块时钟板完成高精度时钟的产生与驱动。而数据又是有起始位置的,如何完成数据的同步,并发现数据的“头”呢?

数据的同步方法完全可以采用上面的方法,采用同步指示信号,或者使用RAM、FIFO缓存一下。

找到数据头的方法有两种,第一种很简单,随路传输一个数据起始位置的指示信号即可,对于有些系统,特别是异步系统,则常常在数据中插入一段同步码(比如训练序列),接收端通过状态机检测到同步码后就能发现数据的“头”了,这种做法叫做“盲检测”。

上级数据和本级时钟是异步的,也就是说上级芯片或模块和本级芯片或模块的时钟是异步时钟域的。

前面在输入数据同步化中已经简单介绍了一个原则:如果输入数据的节拍和本级芯片的处理时钟同频,可以直接用本级芯片的主时钟对输入数据寄存器采样,完成输入数据的同步化;如果输入数据和本级芯片的处理时钟是异步的,特别是频率不匹配的时候,则只有用处理时钟对输入数据做两次寄存器采样,才能完成输入数据的同步化。需要说明的是,用寄存器对异步时钟域的数据进行两次采样,其作用是有效防止亚稳态(数据状态不稳定)的传播,使后级电路处理的数据都是有效电平。但是这种做法并不能保证两级寄存器采样后的数据是正确的电平,这种方式处理一般都会产生一定数量的错误电平数据。所以仅仅适用于对少量错误不敏感的功能单元。

为了避免异步时钟域产生错误的采样电平,一般使用RAM、FIFO缓存的方法完成异步时钟域的数据转换。最常用的缓存单元是DPRAM,在输入端口使用上级时钟写数据,在输出端口使用本级时钟读数据,这样就非常方便的完成了异步时钟域之间的数据交换。

2. 设计数据接口同步是否需要添加约束?

建议最好添加适当的约束,特别是对于高速设计,一定要对周期、建立、保持时间等添加相应的约束。

这里附加约束的作用有两点:

a. 提高设计的工作频率,满足接口数据同步要求。通过附加周期、建立时间、保持时间等约束可以控制逻辑的综合、映射、布局和布线,以减小逻辑和布线延时,从而提高工作频率,满足接口数据同步要求。

b. 获得正确的时序分析报告。几乎所有的FPGA设计平台都包含静态时序分析工具,利用这类工具可以获得映射或布局布线后的时序分析报告,从而对设计的性能做出评估。静态时序分析工具以约束作为判断时序是否满足设计要求的标准,因此要求设计者正确输入约束,以便静态时序分析工具输出正确的时序分析报告。

Xilinx和数据接口相关的常用约束有Period、OFFSET_IN_BEFORE、OFFSET_IN_AFTER、OFFSET_OUT_BEFORE和OFFSET_OUT_AFTER等;Altera与数据接口相关的常用约束有Period、tsu、tH、tco等。

内容简介

CPLD/FPGA是目前应用最为广泛的两种可编程专用集成电路(ASIC),特别适合于产品的样品开发与小批量生产。本书从现代电子系统设计的角度出发,以全球著名的可编程逻辑器件供应商Xilinx公司的产品为背景,系统全面地介绍该公司的CPLD/FPGA产品的结构原理、性能特点、设计方法以及相应的EDA工具软件,重点介绍CPLD/FPGA在数字系统设计、数字通信与数字信号处理等领域中的应用。本书内容新颖

目录

第1章 可编程ASIC与EDA技术

第2章 Xilinx CPLD系列器件

第3章 Xilinx FPGA系列器件

第4章 CPLD/FPGA的边界扫描测试

第5章 Xilinx Foundation应用基础

第6章 Foundation高级应用

第7章 VHDL语言简介

第8章 CPLD/FPGA在数字系统设计中的应用

第9章 CPLD/FPGA在通信领域的应用

第10章 CPLD/FPGA在DSP领域的应用

第11章 CPLD/FPGA在微机系统领域的应用

附录 GW48型EDA实验开发系统使用介绍

参考文献

下载链接:

《FPGA/CPLD应用设计200例》是应广大科学研究人员、工程技术人员的迫切需求,参照国内外1000余项FPGA/CPLD应用设计成果,从实用角度出发编写的。是一本具有实用性、启发性、信息性的综合工具书。《FPGA/CPLD应用设计200例》共计典型应用设计实例287个,可供科学研究人员、工程技术人员、维护修理人员及大专院校师生在解决现代科研和生产中的许多实际问题时参考、借鉴。

CPLD/FPGA技术应用相关推荐
  • 相关百科
  • 相关知识
  • 相关专栏