造价通
更新时间:2024.03.23
I2C总线控制器的设计

格式:pdf

大小:13KB

页数: 1页

I2C(Inter-Integrated Circuit)双向串行总线将主机或者从机的并行数据转换为串行数据,并通过SDA线传输。SCL则是串行时钟线,I2C总线通过SDA和SCL两条串行总线实现设备器件间的通信。

基于FPGA的I2C总线主控器的设计与实现

格式:pdf

大小:482KB

页数: 3页

I2C BUS(Inter Integrated Circuit BUS内部集成电路总线)是由Philips公司推出的两线制串行扩展总线,是具备总线仲裁和高低速设备同步等功能的高性能多主机总线。结合DS1340(日历时钟器件)应用实例描述了采用FPGA模拟I2C总线的时钟线SCL(Serial Clock)和数据线SDA(Serial Data),实现对DS1340控制的具体过程。

最新知识

i2c总线
点击加载更多>>
i2c总线相关专题

分类检索: