造价通
更新时间:2024.03.23
基于EDA技术的555单稳态触发器设计与仿真

格式:pdf

大小:116KB

页数: 2页

针对目前高校教学中555单稳态触发器设计和调试实验电路中存在的问题,提出运用先进EDA技术完成单稳态触发器设计和仿真研究的方法,使电路设计过程具有快捷性、高效性和准确性,完成符合质量要求的555单稳态触发器的设计。

可重复触发单稳态触发器在检测电路中的应用

格式:pdf

大小:1.4MB

页数: 3页

"555"定时器是一种数模混合中的规模集成电路,它使用灵活、方便,被广泛应用于脉冲的产生、整形、定时和延迟等电路中。由其构成的可重复触发单稳态触发器,能比较方便地得到持续时间更长的输出脉冲宽度。本文介绍了由555定时器构成的可重复触发单稳态触发器的电路构成与工作原理,以人体心律监视电路中失落脉冲检测为例,讨论了其在信号检测电路中的应用,说明在实际生产中,只要将其各个功能加以综合应用,便可得到许多实用电路。

精华知识

单稳态触发器

最新知识

单稳态触发器
点击加载更多>>
单稳态触发器相关专题

分类检索: