造价通
更新时间:2024.04.13
EDA:4-16译码器电路的设计

格式:pdf

大小:358KB

页数: 3页

1 院(系)名称 班 别 姓名 专业名称 学号 实验课程名称 EDA 技术与应用 实验项目名称 4-16译码器电路的设计 实验时间 实验地点 实验成绩 指导老师签名 【实验目的】 1. 了解 QuartusII 中电路图输入和 VHDL 程序输入方式。 2. 掌握基于 FPGA 的 4-16 译码器电路的设计方法。 【实验元器件和模块】 元器件:按键、发光二极管 模块: 4-16 译码器 decoder4_16 模块 【实验步骤】 首先要建立设计项目,然后在 Quartus II 集成环境下,执行“ File ”菜单的“ New”命令, 或者直接按主窗口上的“创建新的文本文件”按钮,在弹出的新文件类型选择对话框中,选择 “ VHDL File ”,进入Quartus II 的 VHDL 文本编辑方式。 编辑源程序 decoder4_16.vhd 。 library ieee; use

4-16译码器的设计

格式:pdf

大小:748KB

页数: 8页

大作业 3---- 4-16译码器的设计 一、功能表 EN X[4] X[3] X[2] X[1] Y[16] 1 d d d d 0000000000000000 0 0 0 0 0 0000000000000001 0 0 0 0 1 0000000000000010 0 0 0 1 0 0000000000000100 0 0 0 1 1 0000000000001000 0 0 1 0 0 0000000000010000 0 0 1 0 1 0000000000100000 0 0 1 1 0 0000000001000000 0 0 1 1 1 0000000010000000 0 1 0 0 0 0000000100000000 0 1 0 0 1 0000001000000000 0 1 0 1 0 0000010000000000 0 1 0 1

最新知识

74hc138译码器
点击加载更多>>
74hc138译码器相关专题

分类检索: